Inorganic Thermal Resist Papers: Prof. Glenn H. Chapman

This page contains the paper abstracts and full PDF versions of publications on the Inorganic Thermal Resist concept. Click on the link to download pdf version.  Papers here are listed in order of publication
Note: These papers are for academic use only and are not available for distribution or publication for other purposes.

Refereed Conference Proceedings

G.H. Chapman, M.V. Sarunic, Y. Tu, "A Prototype Laser Activated Bimetallic Thermal Resist for Microfabrication", SPIE Proceedings Laser Applications in Microelectronics and Optoelectronics Applications, v 4274, pg 183-193, San Josa, CA 2001    photonw01p.pdf   1544KB
The Thermal Resist Enhanced Optical Lithography (TREOL) process models an optical system to double device resolution by exploiting non-reciprocal laser activated processes.  A possible prototype thermal resist consists of stacked bismuth on indium layers sputter deposited on a glass/quartz substrate with thickness ratios matching the eutectic alloy (Bi 53%).  Laser radiation locally melts the metals which alloy upon cooling.  BiIn resist is relatively wavelength insensitive because its UV optical characteristics vary modestly.  Reflection and energy absorption/cc calculations indicate the best arrangement is a 30-45nm total thickness bilayer with bismuth on indium.  Exposing the highly absorbing BiIn with CW argon (514/488nm) or 4ns Nd:YAG pulses at 533nm (40mJ/cm2 for 300nm thick) and 266nm transforms the resist to a weakly absorbing alloy with a visually identifiable pattern.  30nm thick converted film transmission changes from 1.0OD to 0.35OD (830-350nm) until a 350nm absorption edge.  Profilometry and SEM showed no signs of ablation or oxide growth in exposed areas.  The resist was developed with HNO3:CH3COOH:H2O etch, preferentially removing unexposed areas, leaving written patterns of alloyed lines seen both in profilometry and SEM images.  Thus BiIn forms a complete thermal alloying resist with selectively etched exposed patterns that can be stripped in a HCl:H2O2:H2O bath.

M.V. Sarunic, G.H. Chapman, Y. Tu, "BiIn: a Sensetive Bimetallic Thermal Resist", SPIE Advances in Resist Technology and Processing XVIII v. 4345, pg 557-567, Santa Clara, CA Mar 2001 ulith01p.pdf   1904KB
A class of DC-sputter deposited bimetallic thermal resists (BiIn, SnIn, BiSn) have been investigated with exposure sensitivities (7mj/cm2) near those of current organic photoresists. A prototype resist consists of stacked bismuth on indium layers (30-45nm thick) with thickness ratios matching the eutectic alloy points in BiIn binary phase diagram. Laser exposed areas have significantly different optical characteristics, with lower reflectivity and higher transparency, hence showing the imaged pattern before development, thus confirming correct exposures. Predicted exposure levels are almost wavelength invariant: <5% change between 248 and 157nm. Profilometery results and SEM images showed no signs of ablation in the exposed areas. The BiIn resist was wet developed using a HNO3:CH3COOH:H2O (1:3:6) acid solution which preferentially removed the unexposed areas leaving written patterns of alloyed lines seen both under profilometery and SEM, and stripped in a dilute HCl:H2O2:H2O bath. Shelf tests shows that the BiIn film is stable under 50?C/90% humidity conditions. XRD and TEM show that the film is polycrystalline. Both SnIn and BiSn exhibit similar resist property as BiIn that the exposed area converts to greater transparencies that unexposed areas, indicating these three bimetallic films can be a group of potential inorganic thermal resist.

Y. Tu, G.H. Chapman, and M.V. Sarunic "Bimetalltic Thermall Activated films for Microfabrication, Photomasks and Data Storage", Proceedings SPIE Photonics West, Laser Applications in Microelectronics and Optoelectronics Applications, v4637, pg 330-340, San Josa, CA 2002. photonw02yfp1.pdf   4314 KB
Bimetallic thermal resist Bi/In has shown many applications in the areas of microfabrication, photomasks and data storage. Optical modeling shows that this class of thermal resists is wavelength invariant, and Bi/In can perform even better at 13.4 nm than at 248 nm due to the increase of absorption and the reduction of reflection. Images were successfully made on Bi/In films with both proximity (0.5 mm) and projection exposures with Nd-YAG laser running at 2nd harmonic wavelength. A new kind of developing solution (HCl:H2O2:H2O=1:1:48) used at room temperature was found to be more effective in descumming than nitric acetic acid solution. Both have the etching selectivity of unexposed area to exposed area >60:1. Developed Bi/In resist shows good conductivity, which can be used as both a metal plating masking and seeding layer. 2 to 10 mm wide Cu and Ni lines and squares were successfully plated on the developed Bi/In patterns on glass slides and silicon wafers. Shelf test shows that the properties of Bi/In film do not change after being kept in a humid temperature-lifted environment for 10 days. Large optical transmission changes (OD>3.5 before laser exposure and <0.3 after exposure) indicate Bi/In can be used for direct-write photomasks and data storage media. Heat-treatment enhances the OD exposed/unexposed OD change.

G.H. Chapman, Y. Tu, and M.V. Sarunic " Bi/In Bimetallic Thermal Resists for Microfabrication, Photomasks and Micromachining Applications", Proceedings SPIE Microlithography Conference, Advances in Resist Technology and Processing XIX, v4690, 12 pages, San Josa, CA 2002. ulith02.pdf   4210KB
Bilayer Bi/In thin films form thermal resists with many new microfabrication and micromachining applications due to their changed physical, chemical and optical characteristics after laser exposures. Wavelength invariance has been shown from the results of both experiment and Airy Summation optical modeling. The modeling projects bimetallic resist sensitivity to be nearly constant at about ~7 mJ/cm2 from 248 to 13.4 nm and is still very sensitive at 1 nm in X-ray range. Two kinds of acid solutions were effective in developing the exposed films by removing the unexposed area. Both nitric acid mixture (HNO3:CH3COOH:H2O=1:3:6) and hydrochloride acid mixture (HCl:H2O2:H2O=1:1:48) give etching selectivity of exposed to unexposed area of larger than 60:1. The etch rate of unexposed area is about 2.6 nm/sec. The Bi/In resist can be stripped away by an RCA2 clean. Bi/In resist was successfully used as a mask layer for KOH anisotropic silicon etching process. Due to the unusual conductive property of its exposed and developed films, Bi/In has demonstrated that it can be used as a direct laser write electroplating resist material. Copper and nickel plating were carried out on developed Bi/In layers on various substrates such as Si wafers, glass slides, wet-oxidized wafers. Large optical transmission changes (OD>3.5 before exposure and OD<0.3 after exposure at I-line) indicate that Bi/In can be used as a direct-write photomask material.

Y. Tu, G.H. Chapman, "Bi/In: A Novel Bimetallic Thermal Resists for Optical and Micromachining Applications", SPIE OptoCanada 2002, Abstract only published, poster presented (runner up best student paper), Ottawa May 2002 opto-canada02.pdf  738KB
A new inorganic thermal microfabrication resist consisting of bilayer Bi(Bismuth)/In(Indium) thin (15-30 nm/layer) films has been found to have many new optical and micromachining applications due to its changed physical, chemical and optical characteristics after laser exposures. The bimetallic films are prepared by single pumping down DC-sputtering on different kind of substrates, such as glass, Si wafer, quartz, etc. The resist film turns to be more transparent than as-deposited after laser exposure. These large optical transmission changes (OD>3.5 before exposure and OD<0.3 after exposure at I-line) indicate that Bi/In can be used as a direct-write photomask material for lithographic applications. For microfabrication applications two kinds of acid solutions (HNO3:CH3COOH:H2O=1:3:6) and (HCl:H2O2:H2O=1:1:48) were effective in developing the exposed films by removing the unexposed areas. Both solutions give etching selectivity of exposed to unexposed area of larger than 60:1. The etch rate of unexposed area is about 2.6 nm/sec. The Bi/In resist can be stripped by an RCA2 clean. Bi/In resist was also successfully used as a mask layer for KOH anisotropic silicon etching process. Due to the unusual conductive property of its exposed and developed films, Bi/In has demonstrated that it can be used as a direct laser write electroplating resist material for micromachining applications. Bi/In film first acts as a thermally activated photoresist material for pattern transferring. After the development, the film acts as a seeding layer for electroplating. Copper and nickel plating were carried out on developed Bi/In layers on various substrates such as Si, SiO2 and glass slides.


Y. Tu, M. Karimi, N. Morawej, K.L. Kavanagh, and G. H. Chapman "Alternative Wavelength Invariant Resist composed of Bimetallic Layers", Proc. Novel Materials and Processes for Advanced CMOS. Symposium , Materials Research Soc. Conf., v745, pg 73-78, Boston, Dec. 2002 mrs02.pdf 1,213K
Two layer co-sputtered Bi over In thin films (40 nm/layer) act as a microfabrication resist with many potential applications.  Their physical, chemical and optical characteristics change after laser exposures that produce a rapid thermal anneal in selected areas.  Unlike organic photoresists, Bi/In is a bimetallic thermal resist whose sensitivity shows a near wavelength invariance for wavelengths from Near IR to UV. The laser-induced patterns are developed by an etch that selectively removes unexposed area and retains converted ones.  The optical density (OD) of 40 nm thick Bi/In films on quartz substrates, for example, changes from 3.3 OD to 0.37 OD in the annealed area. This has enabled the creation of direct-write photomasks for standard photoresist exposures. In this paper, the composition, morphology, and nanostructure of the resist before and after laser processing were studied in order to determine the mechanism of the laser-induced material conversion. AFM, XRD, and TEM show that the as-deposited films are polycrystalline, continuous, but with a rough, island morphology. Furnace anneals in air above the eutectic temperature (150-250°C, 3 hours) result in the formation of the tetragonal phase BiIn with a small degree of oxidation. The island morphology is maintained but there is evidence of melting and recrystallization. Transparency is much lower than after laser annealing. RBS and NRA depth profile analysis show that Bi/In films exposed to laser annealing in  air contain a large fraction of oxygen and suggest that the converted film may be a BiIn0.6O6 /Bi0.3InO6 bilayer.

Y. Tu, G.H. Chapman "Bi/In as Patterning and Masking Layers for Alkaline-Base Si Anisotropic Etching" accepted by Photonics West 2003, Micromachining and Microfabrication Process Technology VII, San Jose, Jan. 2003 [12 pages]
micro03.pdf  670KB
A new resist for alkaline-based silicon anisotropic etching process is presented in this paper. Bismuth and Indium, 30 nm to 90 nm thick for each layer, were DC-sputtered on silicon substrates, and were used as a thermally activated photoresist on which patterns were generated using focused Argon laser beam. Both physical and chemical properties of the bimetallic film changed after the laser exposure. Unlike normal organic photoresist, Bi/In is laser wavelength invariant as it is a thermal processes. The laser exposed patterns were developed in diluted RCA2 solution that selectively removed the unexposed area and retained the exposed. The developed Bi/In patterns acted as an etching mask for the subsequent alkaline-based silicon anisotropic etch at 85°C. It was found that the developed Bi/In has a lower etch rate than that of SiO2 in the etching solutions, making it a potential masking material for silicon bulk micromachining process. Solar cells with v-groove surface textures were manufactured to show the compatibility of Bi/In with conventional processes.

G.H. Chapman, Y. Tu, "Single Step Direct-Write Photomask Made From Bimetallic Bi/In Thermal Resist", Proc. SPIE LASE 2003 Photonics West, Photon Processing in Microelectronics and Photonics II, v4977, pg 257-268, San Jose, Jan 2003.
lase03.pdf  554KB
A new single step direct-write photomask process has been proposed by using Bi/In bimetallic thermal resist which turns almost transparent with high energy laser exposure. The Bi over In metallic films, each layer ~40 nm thick, were DC-sputtered onto quartz mask plate substrates in a single pump-down chamber. Before laser exposure the Bi/In had 2.91 Optical Density.  Bi/In is a bimetallic thermal resist and hence shows near wavelength invariance exposure sensitivity from Near IR to UV light. For Bi/In exposure, up to 0.9 W Argon laser (514 nm) beam was focused by an f=50 mm lens to a 10 micron spot. When writing a mask the Bi/In coated sample was placed on a computer-controlled high accuracy X-Y table and the pattern was raster-scanned by the laser at 10mm/sec. After exposure the Bi/In film became nearly transparent (0.26 OD) at I-line (365 nm) wavelength, and remained conductive. Bi/In photomasks have been used together with a standard mask aligner to pattern the oxide and Al layer during the manufacturing of test solar cell devices in the lab. Experiments also showed that annealing the as-deposited films at 90°C before laser exposure increase the Bi/In transparency.

G.H. Chapman, Y. Tu, J. Peng, “Wavelength Invariant Bi/In Thermal Resist As A Si Anisotropic Etch Masking Layer And Direct Write Photomask Material”, Proc. SPIE Microlithography Conference, Advances in Resist Technology and Processing XX, v5039, pg 472-483, Santa Clara, CA, Feb. 2003. ulith03.pdf  495KB
Bilayer Bi/In thin film thermal resists are Bi and In films which form an etch resistant material at ~7 mJ/cm2 laser exposures with near wavelength invariance from visible to EUV.  New simulations predicted that Bi/In film of 15/15nm absorbs substantially at 1 nm, which projects single pulse exposure sensitivity of ~16 mJ/cm2, hence suggesting good sensitivity to X-ray range.  Thermal modeling has confirmed the exposure time/optical energy requirements for Bi/In. Exposed and developed Bi/In resist etches slower than silicon dioxide in alkaline-based silicon etchants TMAH, KOH, and EDP, making it a better masking layer for anisotropic Si etching. Also Bi/In has been used to create a direct-write photomask as its optical transmission changes from OD>2.9 before laser exposure to OD<0.26 after exposure. Both Bi/In anisotropic etching and direct write masks have been combined to successfully build test photocells with V-groove surface textures by using Bi/In masked silicon anisotropic etching and the other layers created using regular lithography but with Bi/In masks. These devices showed no operational differences from those created with regular resist processes. Investigation of resist interactions with Silicon after laser exposure and strip were done with Auger surface analysis which showed no detectable Bi or In contamination on substrates and no substrate sheet resistance change.  X-ray diffraction and Rutherford back scattering tests suggest that the converted Bi/In may involve oxides.
G.H. Chapman, Y. Tu, J. Peng, “Creating Gray-scale Photomasks with Bimetallic Thin Film Thermal Resists”, Proc. SPIE Bacus 2003, v, 5256, pg 400-411, Monteray, CA, Sept. 2003 bacus03.pdf 562KB: (Received 2nd Best Paper at Bacus03)
New types of analog gray-scale laser direct-write masks have been created using bimetallic thermal resists and a direct- write laser process. Bimetallic resists consist of two layers of thin films, eg. Bi over In or Sn over In, which react to form a low temperature alloy when a laser raises the films above the eutectic temperature. Depending on the exposure energy, resulting alloyed layers appear to become oxides, causing a change of absorption at 365nm from >3OD (Optical Density) to <0.3OD. The thermal resists show near wavelength invariance from IR to UV. The Sn/In films, each layer ~40 nm thick, were DC-sputtered onto glass slides or quartz substrates. To make gray-scale photomasks the samples were placed on a computer-controlled high accuracy X-Y table. A bitmap gray-scale pattern was raster-scanned with a CW Argon laser (514 nm) beam. An optical shutter controlled the actual laser power applied onto the thermal resist film according to the gray-scale value. When exposed to a laser greater than 0.6 W, the Sn/In film became nearly transparent (0.22OD) at I-line (365nm) wavelength. Sn/In and Bi/In photomasks have been used together with a standard mask aligner to successfully pattern Shipley SPR2FX-1.3 photoresist. CF4/O2 plasma etching has been used to transfer the three-dimensional pattern to SiO2 and Si substrates. Also a 160 beam laser diode thermal imaging tool was used to create BiIn direct-write binary masks.

G.H. Chapman and Y. Tu, “Bi/In Thermal Resist for Both Si Anisotropic Wet Etching and Si / SiO2 Plasma Etching”, Proc. SPIE Micro04, Photonics West, Micromachining and Microfabrication Process Technology IX, v 5342, pg 192-203, San Jose, Jan. 2004 photonw04micro.pdf  712K
Bi/In thermal resist is a bilayer structure of Bi over In films which can be exposed by laser with a wide range of wavelengths and can be developed by diluted RCA2 solutions. Current research shows bimetallic resist can work as etch masking layer for both dry plasma etching and wet anisotropic etching. It can act as both patterning and masking layers for Si and SiO2 with plasma “dry” etch using CF4/CHF3. The etching condition is CF4 flow rate 50 sccm, pressure 150 mTorr, and RF power 100 - 600W. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1 nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In. Bi/In also creates etch masking layers for alkaline-based (KOH, TMAH and EDP) “wet” anisotropic bulk Si etch without the need of SiO2 masking steps. The laser exposed Bi/In etches two times more slowly than SiO2. Experiment result shows that single metal Indium film exhibits thermal resist characteristics but at twice the exposure levels. It can be developed in diluted RCA2 solution and used as an etch mask layer for Si anisotropic etch. X-ray diffraction analysis shows that laser exposure causes both Bi and In single film to oxidize. In film may become amorphous when exposed to high laser power

G.H. Chapman, Y. Tu, J. Peng, “Creating 3D Structures with A Direct-Write Gray Scale Photomask Made From Sn/In Bimetallic Films”, Proc. SPIE Photonics West: Laser Applications in Microelectronics and Optoelectronics Manufacturing IX, v5339, pg321-332, San Jose,  Jan. 2004 photonw04lase.pdf 557K
Bilayer thermal resist Sn/In films have been found to be promising analogue direct-write photomask materials. The bimetallic films turn to be more transparent after a laser exposure which raises the films above the eutectic temperature. Laser converted layers are oxidized to a controlled extent, depending on the laser exposure energy. The exposure causes a change of absorption at 365nm from 3OD to 0.22OD. The thermal resist shows near wavelength invariance from IR to UV. The Sn/In films, each layer ~40 nm thick, were DC-sputtered onto glass slides or quartz substrates. To make gray-scale photomasks the samples are placed on a computer-controlled high accuracy X-Y table. The computer takes a bitmap gray-scale pattern as the input and modulates an optical shutter, which in turn, controls the actual power of a CW Argon laser (514 nm) beam applied to the thermal resist according to the gray-scale value. Sn/In photomasks have been used together with a standard mask aligner to successfully make a number of 3D patterns on Shipley SPR2FX-1.3 photoresist. The transfered structure these gray scale patterns has been measured and compared to the original mask design. CF4/O2 plasma etching has been used to transfer the 3D patterns to SiO2 substrates. XRD analysis shows that laser power determines the extent of oxidation of the metal films.

G.H. Chapman, Y. Tu, J. Peng, “Inorganic Bi/In Thermal Resist as a High Etch Ratio Patterning Layer for CF4/CHF3/O2 Plasma Etch”, accepted by SPIE Microlithography Conference, Advances in Resist Technology and Processing XXI, v5376, pg 867-878, Santa Clara, CA, Feb. 2004 ulith04.pdf 371K
Bimetallic thin films containing indium and with low eutectic points, such as Bi/In, have been found to form highly sensitive thermal resists. They can be exposed by lasers with a wide range of wavelengths and be developed by diluted RCA2 solutions. The exposed bimetallic resist Bi/In can work as an etch masking layer for alkaline-based (KOH, TMAH and EDP) “wet” Si anisotropic etching. Current research shows that it can also act as a patterning and masking layer for Si and SiO2 plasma “dry” etch using CF4/CHF3. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1 nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In, indicating that laser exposure is an oxidation process. Experiment result shows that single metal Indium film and bilayer Sn/In exhibit thermal resist characteristics but at higher exposure levels. They can be developed in diluted RCA2 solution and used as etch mask layers for Si anisotropic etch and plasma etch.

J. Peng, Y. Tu and G.H. Chapman, “Laser direct write Indium tin oxide films for inorganic resist patterning and photomask”, SPIE Photonics North 2004, v 5578, pg 620-631, Ottawa, Sept. 2004 photon_north04.pdf 1,086K
A laser direct write process has been developed for turning patterned bimetallic Sn/In film into a indium tin oxide layer. Sn over In films (15-120nm thick) with a 1:10 thickness ratio were deposited by DC sputtering. An argon laser beam (0.1 –0.9 W, spot size: 2 micron, scan speed: 1 cm/s) exposes the film into patterns. These Sn/In films’ optical absorption changed from 3 OD at deposition to 0.24 OD after exposure (at 356 nm). XRD, SEM, EDX, and Auger have been used to investigate the film’s microstructure and composition suggesting ITO like characteristics. XRD indicated a preferred In2O3 (222) orientation which is similar to ITO films deposited by other methods. Four-point probe tests showed a converted film resistivity of 0.26x10-3 to 9.7x10-3 ohm-cm depending on the laser power and Sn concentration. Hall tests indicated that the bulk carrier concentration was in the range of 1018 to 1020 cm-3.  Developed in a wet HCl: H2O2: H2O =1:1:48 solution removes unexposed Sn/In leaving patterned ITO films created at much lower laser power levels than needed for ablative patterning of ITO. Developed films are also resistant to KOH anisotropic etching at a 1:700 ratio producing <111> trenches in Si (100). The large change in optical density means Sn/In films can be used as a material of the direct write photomasks. 

Y. Tu, G.H. Chapman J. Peng, J. Dykes, and D. Poon, “Calibrating Gray-scale Direct Write Bimetallic Photomasks to Create 3D Photoresist Structures”, Proc. SPIE BACUS Symposium on Photomask Technology 24th annual, v 5567,  pg 245-256, Monteray, CA, Sept. 2004 bacus04.pdf  338KB
Bimetallic thin films were previously shown to create laser direct write binary and analog gray scale photomasks.  DC-sputtered Sn/In (5at.% Sn, 80 nm) oxidize under laser exposure, modifying the optical density at 365 nm from >3OD to <0.22OD. Bimetallic Sn/In thin film grayscale photomasks have been successfully used to create concave and convex 3D structures using mask aligners with Shipley photoresists. To produce precise 3D structures in the organic photoresists, every mask making step was studied. Compensations during the mask making process were necessary because that the relationship between the optical density of the exposed bimetallic films and the laser writing power is not accurately linear, and also that the response of the photoresists is not linear to the exposure. V-grooves with straight slope profile were produced with calibrations taken into account. X-ray diffraction analysis indicates that structure of laser exposed Sn/In bimetallic films is similar to that of ITO films, suggesting new directions for improvement of bimetallic film optical properties, and that the theoretical maximum transmission should approach pure ITO’s ~0.05OD in the visible wavelength.


G.H. Chapman, J. Dykes, D. Poon, C. Choo, J. Wang, Y. Tu, and J. Peng, “Creating Precise 3D Microstructures Using Laser Direct-write Bimetallic Thermal Resist Grayscale Photomasks”, Proc. SPIE Photonics West: Photon Processing in  Microelectronics and Photonics IV, v 5713, pg. 247-258, San Jose, Jan. 22, 2005. photonw05lase.pdf  376KB
Previous research demonstrated Sn/In and Bi/In bimetallic thermal resists are promising new materials for direct-write analogue grayscale photomask processes.  These materials turn transparent with increased laser exposure power and their optical density changes smoothly from 3 OD when unexposed to less than 0.22 OD when fully exposed.  The transparency is the result of an oxidation process that is controllable with exposure to generate the grayscale levels in the photomask.  In order to produce precise 3D structures in regular photoresists, the steps involved in microlithography must be quantified and examined. The lithographic process includes drawing 8-bit grayscale bitmap patterns, computer-aided laser writing photomasks on bimetallic films, and regular photoresist exposure using a mask-aligner.  Compensation during the mask-writing process was necessary since the relationship between the optical density of the exposed bimetallic films and the laser writing power was not completely linear.  In addition, the response of the photoresists to the mask exposure time was also a non-linear relationship.  To investigate the resolution limit for Bi/In and Sn/In bimetallic thermal resists as a masking material, we used a modified form of interference lithography to expose and develop structures in Bi/In resists with widths that are less than 200 nm.  As a result of the lithography, we were able to create structures in the Bi/In films that are up to 20 times smaller than previously obtained using the direct-write method.

G.H. Chapman, D. Poon, C. Choo, Y. Tu, J. Wang, J. Peng, W. Lennard and K. Kavanagh, “Enhanced Inorganic Bimetallic Thermal Resists Transparency and Resolution for Photomask Fabrication”, Proc. SPIE Microlithography, Advances in Resist and Processing XXII, v5753, pp 976-987, San Jose, Mar. 2005. ulith05.pdf 486KB
Bimetallic films have been found to be promising direct write binary and grayscale photomask materials, as they turn transparent after laser exposure. Current structural analysis shows that the laser exposure is an oxidation process. The amount of the oxidized metal created during the laser writing process is related to the laser power, which in turn, determines the gray level (OD) of the exposed film. New exposure conditions have greatly increased the transparency of exposed films (down to 0.18 OD at 365 nm). Furthermore, this extended to deeper UV (300 nm). As the transparency of exposed area changes with the laser exposure power, grayscale photomasks can be created with the bimetallic films, and 3D structures can be produced in the substrate. Interference lithography has been used to investigate the bimetallic films resolution limit, which can generate much finer structures. Lines of 100-180 nm wide were successfully created on silicon and silicon dioxide. Aluminum thin films were found to turn transparent (0.28 OD) after laser exposure with high power, indicating that Al can also be a potential direct-write photomask material.

G.H. Chapman, D. Poon, Y. Tu, J. Dykes, M. La Haye and J. Wang, “Expanding grayscale capability of direct write photomasks by using modified Bi/In compositions”, Proc. SPIE BACUS Symposium on Photomask Technology, v5992,  pp 59920K1-K11, Monteray, CA, Sept. 2005. bacus05.pdf  562KB
Bimetallic thin films have been proven to be effective in creating analog direct write grayscale photomasks. DC-sputtered Bi/In or Sn/In oxidizes under laser writing exposure. The optical density decreases from >3OD as deposited to a transparency of <0.22OD at 365 nm with increasing laser power. The bimetallic film has a response curve that is nearly linear for much of the curve, but non-linear at maximum absorption and transmission.  In order to create more accurate gray levels, a more gradual OD change versus laser writing power is desired. In this research a new reactive sputtered, oxygenated Bi/In film was created that has an 8-bits grayscale level sensitivity of 1.1 gray levels/mV, compared with the previous Bi/In of 3.2 gray levels/mV and Sn/In of 2.8 gray levels/mV.  This modified Bi/In film provides more than twice the laser writing power range for controlling the same OD range, as compared to our original Bi/In or Sn/In films.  This wider power range provides easier and accurate laser power-to-grayscale calibration, because each grayscale can now be spaced more evenly over the increased laser writing power range.  In addition, the surface of the modified Bi/In is found to be much smoother than the original Bi/In and Sn/In films, thus increasing the overall quality of grayscale photomask for this modified Bi/In over that of typical DC-sputtered Bi/In and Sn/In films.  In addition, ridging effect of the bimetallic films has been investigated and techniques such as laser beam shaping and defocusing have been used successfully to eliminate the ridges.

D. Poon, G.H. Chapman, C. Choo, M. Chang, J. Wang, and Y. Tu, “Real-Time Optical Characterization of Laser Oxidation Process in Bimetallic Direct Write Gray Scale Photomasks”, Proc. SPIE Photonics West: Photon Processing in Microelectronics and Photonics V, v6106, pp 61060G1-0G12, San Jose, Jan. 2006. photonw06p.pdf 224KB
DC-sputtered Sn/In and Bi/In bimetallic thin films oxidize and turn transparent under laser exposure. The film’s transparency or optical density (OD) changes smoothly with increasing laser power, from ~3.0OD (unexposed) to <0.22OD (fully exposed).  Laser-induced oxidation of bimetallic films can be used to produce direct-write binary and analogue grayscale photomasks.  In order to create high quality grayscale photomask and to improve our current laser writing process, not only do we need a closed-loop feedback system that allows us to continuously fine tune the system parameters, but we also need a greater understanding of the laser-induced oxidation process of the bimetallic thin films.  In this paper, an OD measurement system capable of measuring and provide real time optical density and exposure power of the bimetallic thin films is presented.   Three silicon-based PIN photodiodes were used to monitor the incident and transmitted beams powers, allowing us to measure the OD change as the film oxidizes, giving us a real time measure of the optical density of the bimetallic thin film. With this OD measurement system, real time OD data can be used to adjust the laser power to compensate any variations in laser output power and other components in the laser writing system. Furthermore, with the incident beam focused, we can precisely measure OD level in areas as small as the laser spot size.

J. Wang, M. Chang, Y. Tu, D. Poon, G.H. Chapman, C. Choo and J. Peng, “Laser-induced Oxidation of Zinc Film for Direct-write Grayscale Photomask Material”, Proc. SPIE Photonics West: Photon Processing in Microelectronics and Photonics V, v6106, pp 61060F1-0F11, San Jose, Jan. 2006. photonw06w.pdf 1,041KB
Previous research showed that bimetallic Bi/In and Sn/In films exhibit good grayscale levels after laser exposure due to controlled film oxidation. While giving a large alteration in optical density (OD) from 3.0OD to 0.22OD at 365 nm, Bi/In and Sn/In films show a very nonlinear OD change with laser power, making fine control of grayscale photomask writing difficult at some gray levels. This paper studies Zn and Zn alloy films as possible candidates for improved direct-write grayscale photomask applications. Zn and Zn alloys laser oxidation have been reported previously, but without grayscale optical measurements. In this paper Zn films (50nm ~ 240nm), Sn/Zn (100nm), Al/Zn (100nm), Bi/Zn (100nm) and In/Zn (100nm) were DC- and RF- magnetron sputtered onto glass slides and then were scanned by argon ion CW laser(488nm). Among these films, the highest OD alteration 3OD (from 3.2OD before exposure to 0.2OD after laser exposure) at 365nm was found in a In/Zn (25/75nm) film. The characterization of grayscale level to laser power modulation in Zn and Zn alloys films with various thickness or composition ratio were investigated. The Zn OD change versus laser power curve is more linear than those of Sn/In and Bi/In films. In/Zn films have better characterization of grayscale level to laser writing power modulation than pure Zn film. The abilities of four Zn alloys films for laser direct-write photomasks also were compared in this paper.

G.H. Chapman, D. Poon, C. Choo, J. Wang, M. Chang, and Y. Tu, “Laser-induced Oxidation of Metallic Thin Films as a Method for Creating Grayscale Photomasks”, Proc. SPIE Microlithography Conf., v 6153, pp 61534G1-G12, San Jose, Feb. 2006.
ulith06.pdf 759KB
Bimetallic Bi/In films demonstrate grayscale levels after exposed with different laser powers due to controlled film oxidation. Although large optical density (OD) change from 3.0 OD to 0.22 OD at 365 nm was observed, these films show a rapid and nonlinear OD change with laser power, which is not desirable for fine control of grayscale levels. This paper aims to explore and evaluate some new metal films as possible candidates for direct-write grayscale photomask applications. Sn/In, Al/Zn, Bi/In/O and Al/In films were DC-sputtered onto glass slides and then were raster-scanned by argon CW laser. Among these films, the highest OD change at 365 nm was found in Sn/In film, Al/Zn shows the most linear relation of OD to laser power modulation, and Bi/In/O has the best over-all performance as a potential grayscale mask material. A grayscale test photomask of 16×16, 20 μm squares over the full OD range was made using Bi/In/O and a test exposure created squares of different heights on regular photoresist. Interference lithography using 266 nm DUV has been utilized to investigate the resolution limit of these bimetallic films, which can generate much finer structures. The true resolution limit of Bi/In should be at least less than 50 nm.

D.K. Poon, J.M. Dykes, C. Choo, J.T.K. Tsui, J. Wang, G.H. Chapman, Y. Tu, P. Reynolds, and A. Zanzal, “Adding Grayscale Layer to Chrome Photomasks” Proc. SPIE BACUS Symposium on Photomask Technology, v6349, pp 634931-1-634931-12, Monteray, CA, Sept. 2006. bacus06.pdf 794KB
Recent work has shown that bimetallic films, such as Bi/In and Sn/In, can create laser direct-write grayscale photomasks.  Using a laser-induced oxidation process; bimetallic films turn transparent with variations in optical transparency that are a function of the laser power.  The films exhibit transmittances <0.1% when unexposed and >60% when full laser exposed.  A novel grayscale photolithography technique is presented that utilizes conventional chrome photomasks as the high resolution pattern-defining layer with a bimetallic thin film layer deposited on top as the grayscale-defining layer.  Having the grayscale layer on top of the chrome, grayscale patterns can be aligned to the underlying chrome patterns.  Laser power and bimetallic thin film thickness are carefully calibrated such that no chrome ablation or conversion occurs.  The calibration ensures that during laser scanning, the bottom chrome layer defines the fine features of the underlying patterns and remains unchanged, while the bimetallic thin film layer is converted to provide grayscale tones.  To further investigate the optical density (OD) properties of this type of mask, we measured the transient time response for pure chrome mask and Bi/In coated chrome mask to help fine tune the laser writing parameters. Using bimetallic Bi/In/Cr photomasks, we have successfully created continuous tone 3D structures with superimposed binary structures in SU-8 photoresist.  By introducing this novel combined chrome-bimetallic mask, the fine detail features found in binary lithography may be combined with smoothly-varying 3D microstructures best suited to grayscale methods.

J.M. Dykes, D.K.Poon, C. Choo, J. Wang, J.T.K. Tsui, G.H. Chapman and Y. Tu, “Improved Writing Method of Bimetallic Grayscale Photomasks”, Proc. Photonics West, Photon Processing in Microelectronics and Photonics VI, v6458, 64580T1-0T12, San Jose, Jan 2007. photonw07lase.pdf 1,950KB
Bimetallic thin-films offer the ability of producing analog grayscale photomasks with OD ranging from ~3.0OD (unexposed) to <0.22OD (fully exposed).  Recent developments have yielded the ability to deposit and pattern bimetallic thin-films on pre-patterned binary Chrome masks.  Care is taken to ensure that when writing the grayscale pattern that the underlying Chrome layer is not affected.  Through this technique, the advantages of analog grayscale can be added to the high resolution capabilities currently available with Chrome masks.  Currently the optical characteristics of bimetallic thin-films limit their effectiveness in high resolution applications.  Techniques designed to minimize defects in the uniformity of thin-films after laser exposure are investigated along with different methods of performing the raster-scanning of the photomask patterns.  Also discussed is a new application of bimetallic thin-films as a beam-shaping mask.  Characterizing the laser beam profile for our writing system, a grayscale mask is designed and tested in an attempt to modify the Gaussian beam profile of the laser into a more uniform flat-top profile.  Obtaining a flatter laser power distribution for the writing laser would assist in improving the optical characteristics of the bimetallic thin-films since the primary cause for the photomask’s gray level non-uniformities is the Gaussian nature of the laser beam’s power distribution causing lines on the photomasks.  A flatter profile is shown to eliminate these lines and allow for more uniform gray levels on the laser-exposed bimetallic thin-films.

J. Wang, J.M. Dykes, C. Choo, D.K. Poon, M. Chang, J.T.K. Tsui, and G.H. Chapman, “Bimetallic Thin Film Grayscale Photomasks for Complex 3D Microstructure Creation in SU-8”, Proc. IEEE Canadian Conf. Elec. Comp. Eng. 2007, pg 1445-1448, Vancouver, BC Apr. 2007. ccece07w.pdf 1,126KB
Metallic thin films can be fully oxidized by focused laser beams, resulting in their optical density (OD) changing from highly absorbing to very transparent.  Previous research found the laser-induced partial oxidation process allows the creation of grayscale photomasks.  This work investigates Sn/Zn, Zn/Al and In/Zn thin films, which are DC/RF-sputter deposited and then scanned by an argon ion CW laser.  The resulting transmittance for the mask varies from 0.06% (3.2OD) to 63% (0.2OD), offering a greater range of transparency at I-line (365nm) than existing commercial grayscale masks such as chrome halftone binary and analog HEBS photomasks.  Having 8-bit gray level precision, bimetallic films are capable of producing complex 3D microstructures using only a single exposure.  Using SU-8, a thick negative photoresist, along with standard photolithography,  microfeatures with height variations up to 100µm were successfully generated. 

J.M. Dykes, C. Plesa, C. Choo, and G.H. Chapman, “Bimetallic Thermal Resists Potential for Double Exposure Immersion Lithography and Grayscale Photomasks”, Proc. SPIE BACUS Symposium on Photomask Technology, v6730, 673040-1 -673040-10, Monteray, CA, Sept. 2007. bacus07.pdf 1,609KB
Double exposure/patterning is considered the best candidate for extending 195nm optical lithography below 40nm resolution.  However, double exposure techniques require a resist where the exposures do not add linearly to produce the final result.  A class of negative thermal resists that show this effect are bimetallic thin-films consisting of Bi/In or Sn/In.  The films are bi-layered structured until sufficiently heated by a laser exposure pulse (7 mJ/sq. cm for 4 nsec).  Experiments with interference lithography at 266nm in air demonstrated that Bi/In resists have a resolution limit <42nm, the exposure system limit.  As a first investigation into the resist’s potential for immersion lithography, the response of bimetallic resists to immersion lithography was examined.  The Sn/In film used demonstrated successful development as thermal resist for immersion exposures and the power level required to convert the film was only slightly higher than the level required for exposing the film in air.   Bimetallic films have demonstrated transmittances <0.1% when unexposed and >60% when highly exposed to an Argon laser, enabling their application as grayscale photomasks.  However, direct laser-writing of the photomasks causes fine variations in their transparency due to the laser beam’s Gaussian power profile.  To correct this problem, a beam-shaping mask was designed to manipulate the power profile of the laser.  To help measure mask transparency at a resolution suitable for characterizing a photomask, two photodiode sensors were added to the writing system.  The profiling ability offered by the modified system allows the use of test structures 100x smaller then previously required.

J. Dykes, P. Chan, G. Chapman and L. Shannon, “A Multiprocessor System-on-Chip Implementation of a Laser-based Transparency Meter on an FPGA”, Proc. Int. Conf. on Field Programmable Technology, pp 373-376, Kitakyushu, Japan, Dec. 2007. icftp07.pdf 3,508KB
Modern FPGAs are large enough to implement multi-processor systems-on-chip (MPSoCs). Commercial FPGA companies also provide system design tools that abstract sufficient low-level system details to allow non-FPGA experts to design these systems for new applications. The application presented herein was designed by photomask researchers to implement a new technique for measuring the transparency of bimetallic grayscale masks using an FPGA platform. Production of the bimetallic grayscale masks requires a direct-write laser system. Previously, system calibration was determined by writing large rectangles of varying transparency on a mask and then measuring them using a spectrometer. The proposed technique uses the same mask-writing system but adds photodiode sensors connected to a multiprocessor computing system implemented on an FPGA. The added sensors combined with the laser beam's smaller focal point allows the calibration rectangles to be up to 5000 times smaller than those required by the spectrometer. This allows for direct mask verification on a mum-sized scale. Furthermore, the MPSoC design on the FPGA is easily scalable to support an increased number of photodiodes for the future addition of a feedback approach to the project.

J.M. Dykes, C. Plesa and G. H. Chapman, “Enhancing Direct-write Laser Control Techniques for Bimetallic Grayscale Photomasks”, SPIE Photonics West, Advanced Fabrication Technologies for Micro/Nano Optics and Photonics, v 6883, pp 688312-1-12-12, San Jose, Jan 2008. photonw08mems.pdf 1,507KB
Novel grayscale photomasks are being developed consisting of bimetallic thin-films of Bismuth on Indium (Bi/In) and Tin on Indium (Sn/In) with optical densities (OD) ranging from ~3.0 OD to <0.22 OD.  To create precise three-dimensional (3D) microstructures such as microlenses, the mask’s transparency must be finely controlled for accurate gray level steps.  To improve the quality of our direct-write masks, the design of a feedback system is presented where the mask’s transparency is measured and used to adjust the mask-patterning process while making the mask.  The feedback would account for local variations in the bimetallic film and enhance the control over the mask’s transparency such that >64 gray level photomasks become possible.  A particular application of the feedback system is towards the production of beam-shaping masks.  When placed in the unfocussed path for the photomask-patterning system, they can improve the consistency of the grayscale patterns by altering the laser to have a more uniform “top-hat” power distribution.  The feedback system aids the production of beam-shaping masks since the processes of patterning, verifying, and using the mask are all performed using the same wavelength.  In developing the feedback system, two methods were examined for verifying grayscale patterns.  The first utilizes the mask-patterning system’s focused beam along with two photodiode sensors; the second utilizes image analysis techniques on lower resolution microscope images.  The completed feedback design would also account for drifts in the laser power used to pattern the bimetallic thin-film photomasks.

J. Dykes, P. Tsui, J. Leung and G.H. Chapman, “Effects of heated substrates on bimetallic thermal resist for lithography and grayscale photomask applications”, Proc. SPIE Photomask Technology, v 7122, pp 71220M1-M12, Monterey, CA Oct. 2008.bacus08.pdf 3,202KB
Bimetallic thin-films of Bi/In act as negative thermal resists when laser exposure pulse (7mJ/sq. cm for 4 nsec) converts the film into a transparent eutectic metallic oxide alloy.  Resist transparency varies with exposed laser power, changing from <0.1% (3.0 OD) unexposed to >60% (0.22 OD) exposed. This generates direct-write gray scale photomasks, and adding a feedback system where the transparency is measured and adjusts the writing process to account for local variations in the film, achieves >64 gray level control.  These resists are also wavelength invariant, operating from visible to EUV with a resolution >42nm after development using a diluted RCA-2 solution (HCl:H2O2:H20 @ 1:1:48) with a gamma of 2-18.  Longer duration exposures with lower instantaneous intensities result in lower gammas, while shorter exposures with higher energies give higher gammas.  One limitation on these resists is that the exposure energy must be delivered in a single pulse.  This limitation puts pulse energy requirements into the mJ per pulse range: greater than desired for EUV exposure systems.  Bimetallic thermal resists remain almost unaffected during a sub-threshold exposure that does not reach the activation energy.  It has been shown that the resist and substrate can be heated below the threshold energy, to temperatures of at least 90oC, without creating any exposure of the resist.  In this research, Bi/In resists are heated through a range of substrate temperatures, measured for their optical exposure requirements and gammas under these conditions, and used to determine if substrate heating can improve the film’s sensitivity.

J.M. Dykes and G.H. Chapman, “Optical Characterization of Mask Writing Process in Bimetallic Grayscale Photomasks” LASE09, Photonics West, Laser Applications in Microelectronic and Optoelectronic Manufacturing VII, v72010S1-0S12, San Jose, Jan 2009 lase09.pdf  505KB
Bimetallic thin films of Bi/In and Sn/In oxidize becoming transparent under laser exposure.  By controlling the laser power, direct-write binary and grayscale photomasks can be produced with the mask’s transparency, or optical density (OD), ranging between ~3.0 (unexposed) to <0.22 OD (fully exposed).  An OD measurement system has been developed that provides real time OD and laser exposure power measurements while the masks are being written.  Measurements are obtained for each combination of films, characterizing their response when patterned with a raster-scanned v-groove mask.  The characterization is performed by writing v-groove step patterns and modifying the mask’s writing parameters such as velocity, line spacing and step width.  Stationary results demonstrate Sn/In takes longer to expose compared to Bi/In.  With a moving beam, the oxidation of Sn/In also occurs over a wider power range suggesting film materials with delayed or slower oxidations may offer power ranges that are better suited for grayscale masks.  A narrow power range is less desirable for grayscale as more control is required over the writing laser.  The stationary exposures also demonstrate both films can produce >64 distinct OD levels provided there is sufficient control over the laser power and exposure duration.   The physical characteristics of the films are also examined to determine a more accurate method of verifying each film’s composition.  Combining weight, area, and thickness measurements allows for better characterization of the films as the thickness for bi-layer films are found to differ significantly from the sum of the individual layers.

J. Dykes, and G.H. Chapman, “Enhanced laser-writing techniques for bimetallic grayscale photomasks”, Proc. SPIE Photomask Technology, v 7488, pp 74880O1-0O12, Monterey, CA Oct. 2009 bacus09.pdf 646KB
Under laser exposure, bimetallic thin films of Bi/In and Sn/In oxidize becoming transparent.  By controlling the power, direct-write binary and grayscale photomasks have been produced with the mask’s transparency (optical density, OD), ranging between ~3.0 (unexposed) to <0.22 (fully exposed).  Precise 3D micro-optics require both high vertical accuracy, gray levels over large OD changes, and precise lateral pattern creation.   To achieve this result, an OD measurement system has been developed that provides real-time measurements while the masks are being written.  Using stationary exposures of Bi/In and Sn/In films with varying laser powers, the reduction in OD of the films is measured with respect to time. Using 1-minute exposures, the films reach a ‘saturated’ level within a second at 180 mW while at 50 mW their OD gradually reduces.  The influence of film’s thickness is examined with thicker films requiring a longer exposure time in order to reach a similar OD level.  For mask-writing, the optimal line spacing is dependent upon the laser beam’s power distribution profile.  Using a line-spacing 3-5 times smaller than the effective spot-size, variations in the patterned mask caused by a Gaussian-distributed beam can be minimized at the cost of increasing the writing time of the mask by the same factor.  The Gaussian-distributed beam at different laser powers is also found to create shifts in the OD measurements that are problematic for a closed-loop mask-writing system.  The influence of the beam’s power distribution is discussed along with solutions to eliminate the problems



Patents

G. Chapman, M. Sarunic, Y. Tu, "Dry Multilayer Inorganic Alloy Thermal Resist for Lithographic Processing and Image Creation", US patent 6,641,978 issue Nov. 4, 2003
G. Chapman, M. Sarunic, Y. Tu, "Dry Multilayer Inorganic Alloy Thermal Resist for Lithographic Mask Creation", US patent applied for Sept  2003

Thesis

M.V. Sarunic, Master Thesis “Bi/In: A prototype Bimetallic Laser Activated Thermal Inorganic Resist for Microlithography”, School of Engineering Science, Simon Fraser University, 2001. sarunicthesis.pdf  18098KB
 A critical process in integrated circuit fabrication is the microlithography which currently uses organic photoresists to pattern structures of 0.18 microns size.  But organic resists are very wavelength sensitive and thus need to be changed as the requirement for smaller critical dimensions pushes optical exposures of next generation devices from 195 nm, to 157 nm and  13 nm Extreme UV.  Alternatively, more wavelength invariant is a thermally activated inorganic resist.  Thermal Resist Enhanced Optical Lithography (TREOL) offers another potential advantage by suggesting the doubling of photolithographic resolution by exploiting the non-reciprocal behavior of a thermal resist.  This thesis investigates a bimetallic thermal resist consisting of a top imaging layer consisting of a thin film of bismuth sputter deposited on a thin film indium, with the thickness ratios matching the eutectic alloy (Bi 53%).  Laser radiation locally melts the metals which alloy upon cooling, and the converted material possesses different chemical characteristics than the original metals.  The converted material is significantly less optically absorbing than the original metals and the exposed pattern can be visually inspected before development.  Raster scanning a 30nm thick film of BiIn with an argon ion laser changes the optical absorption of the BiIn from an optical density of 1.0 OD to less than 0.35 OD in a wavelength range from 830nm to a 350nm absorption edge.  Profilometry and SEM show no signs of ablation or oxide growth in exposed areas to account for the change in optical properties.  Similar results are observed using 533nm short 4ns pulses from an Nd:YAG laser.  Computer models have been used to calculate the top surface reflection, the energy absorbed per unit volume, and temperature rise in the films during exposure.  The results indicate that the optimum arrangement for a resist is a 30-45nm total thick bilayer with Bi on top of In.  The minimum energy density required to expose a 30nm thick film of BiIn with a 266nm 4ns laser pulse is approximately the same as standard organic photoresist, approximately 10mJ/cm2.  Experimental results to develop the exposed resist show that a solution of HNO3:CH3COOH:H2O will preferentially attack the unexposed areas, leaving written patterns of converted material observed in both profilometry and SEM.  Completing the requirements of a lithographic resist, the BiIn imaging layer can be stripped in a HCl:H2O2:H2O bath.  A strong benefit of this resist is that the optical characteristics of the BiIn imaging layer vary only modestly in the ultraviolet range, making it relatively wavelength invariant.  Bilayers of SnIn, and BiSn show the same alloying with similar exposure levels suggesting this may be a general class of thermal resists.

Y. Tu,  PhD Thesis, "Bimetallic Thermal Resists for Photomask, Micromachining and Microfabrication", School of Engineering Science, Simon Fraser University, Dec. 2004,  ytu-thesis-final.pdf  13,782K
Photoresists and photomasks are two of the most critical materials in microfabrication and micromachining industries. As the shift towards shorter wavelength exposure continues, conventional organic photoresists and chrome/quartz photomasks start to encounter problems.  This thesis investigates and presents an alternative to organic photoresists and chromium photomasks which overcomes their intrinsic problems.  A bimetallic thin film, such as Bi/In and Sn/In, creates an inorganic thermal resist with many interesting properties. Both experiments and simulations demonstrate that this class of thermal resists can be converted by laser exposure with wavelengths from 213 nm to 830 nm, showing wavelength invariance. Simulations of the projected wavelength response show that Bi/In thermal resist works down to the 1 nm X-ray range. Exposed bimetallic thermal resists can be developed in two different acid solutions with excellent selectivity. A standard etch (RCA2) can strip the unexposed bimetallic film when photoresist rework is needed. Exposed bimetallic films are resistant to Si anisotropic wet etching and fluorine, O2 and chlorine plasma etching. The Bi/In thermal resist is the first reported resist that works for both wet chemical anisotropic Si etching and dry plasma etching. All these features make the bimetallic film a complete thermal resist. Another very important property of bimetallic thin films is the largest change in the optical absorption ever reported in the literature (3.0 OD before exposure and 0.22 OD after exposure, 365 nm), with the exposed areas becoming nearly transparent. The transmission of the exposed films depends on the laser writing power. Thus, Bi/In resist and its class can be utilized as a direct-write photomask material for both binary and grayscale photomasks. Binary photomasks and grayscale photomasks were successfully created. 2D and 3D structures were successfully generated in Shipley organic photoresists using a mercury lamp mask aligner with exposure conditions identical to those for conventional chrome masks. Material analyses show that the transformation after laser exposure of bimetallic thermal resists is an oxidation process. Laser-converted Bi/In and Sn/In oxides have a structure similar to that of indium tin oxide films.

J. Wang,  MASc Thesis, "Laser-induced Oxidized Zinc Alloy Films for Direct-write Grayscale Photomasks", School of Engineering Science, Simon Fraser University, Jan. 2008 jwang_thesis_final.pdf 5,441KB
Previous research showed that laser-induced oxidization in Bi/In and Sn/In bimetallic films produced a  large optical density (OD) change at 365nm (3.00 to 0.22), making them promising candidates for grayscale photomasks.  This thesis explores Zn alloys as new bimetallic combinations.  Sn/Zn, Zn/Sn, Al/Zn, Zn/Al, Bi/Zn, Zn/Bi and In/Zn were DC/RF sputtering deposited and then exposed to an argon ion CW laser (spot size ≤ 10µm).  Using a UV/Visible spectrometer, the most transparent material obtained was an exposed In/Zn film (3.20 to 0.20 OD).  Zn/Sn, Zn/Al and Sn/Zn, producing a shallow OD versus laser power slope (5~9 OD/W) over a 0.4W power range while achieving a large OD range up to 3.45,  gave the best results for laser direct-write grayscale photomasks.  These bimetallic films are able to pattern complex 3D microstructures by a single exposure.  Using SU-8 photoresist along with standard UV photolithography, 100µm-high microbridges and 30°~60° V-grooves were fabricated.


J. Dykes, MASc Thesis, "Real-Time Feedback System for Controlling the Optical Density of Bimetallic Thin-film Grayscale Photomasks",
School of Engineering Science, Simon Fraser University, Dec. 2010 jdykes_gradthesis_final.pdf 34,254KB

Bimetallic grayscale photomasks consist of a bi-layer thin-film that is converted into a transparent oxide upon laser exposure.  The film’s transparency is a function of the laser power allowing grayscale masks to be produced by controlling the laser’s intensity during the mask-writing process.  The mask’s accuracy is determined by the control over the laser power.  Using a direct-write raster-scanning system, a feedback-controlled design is created through the addition of photodiode sensors and an FPGA-based microprocessor subsystem allowing measurements of the mask’s transparency to control the laser’s power.  When patterning mask lines ranging from 1.7 to 0.5 OD on a 100 nm Bi/In film using an 8-bit grayscale without OD feedback, the lines produced are accurate to 0.02 OD for exposures <50 mW.  Using OD feedback, the accuracy improves to 0.002 OD on the same range.  A model for predicting the OD profile of bimetallic grayscale mask lines is also presented.


R. Qarehbaghi, MASc Thesis, "Dual Wavelength Laser Writing and Measurement Methodology for High Resolution Bimetallic Grayscale Photomasks",
School of Engineering Science, Simon Fraser University, July 2013, rqarehbaghi_M.A.Sc_Thesis_Final.pdf 9,432KB
Grayscale bimetallic photomasks consist of bi-layer thermal resists (Bismuth-on-Indium or Tin-on-Indium) which become controllably transparent when exposed to a focused laser beam as a function of the absorbed power changing from ~3OD (unexposed) to <0.22OD (fully exposed).  To achieve high accuracy grayscale pattern, the OD must be measured and controlled while writing.  This thesis investigates using two wavelength beams for mask writing (514.5nm) and OD measurement (457.9nm) separated from a multi-line Argon ion laser source: a Dual Wavelength Writing and Measurement System.  The writing laser profile was modified to a top-hat using a beam shaper.  Several mask patterns tested the creation of high resolution grayscale masks.  Finally, for creation of 3D structures in photoresist, the mask transparency to resist thickness requirements was formulated and linear slope patterns were successfully created.



Back to Prof. Glenn Chapman Home
ENSC Faculty or Engineering Science People or Engineering Science Home Page
Page maintained by: Glenn Chapman
Last updated May 11, 2014